CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - music fpga

搜索资源列表

  1. music

    0下载:
  2. 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:8680
    • 提供者:lijq
  1. FPGA_DE2_MUSIC

    0下载:
  2. 基于FPGA的乐曲硬件演奏模块设计,利用硬件描述语言设计符合技术指标的乐曲硬件发生模块,建立实验模型,通过电路仿真和下载硬件测试,在DE2 EDA实验平台上验证其功能-FPGA-based music performance modular design of hardware, using hardware descr iption language designed to meet specifications of the piece of hardware modules occurs,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:727795
    • 提供者:galingzi
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. music_player

    1下载:
  2. FPGA实现音乐播放器,蜂鸣器播音,LED点阵屏同步滚动显示歌词,与音乐同步效果好,按键控制播放、暂停、停止、重播。-FPGA realization of music players, broadcasting buzzer, LED dot matrix display screen, synchronized scrolling lyrics and music synchronization effect, buttons control play, pause, stop, repla
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2433977
    • 提供者:顿河战神
  1. music

    0下载:
  2. 出血FPGA,用VHDL做的音乐盒,请各位大侠指点。-Bleeding FPGA, using VHDL to do a music box, please instruct heroes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:305773
    • 提供者:tianxingjianma
  1. music-FPGA

    0下载:
  2. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:792018
    • 提供者:周枫
  1. Music_LiangZhu

    0下载:
  2. FPGA音乐试验,语言:verilog HDL-A FPGA expperientation which can play music Liangzhu,language:verilog HDL
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:289816
    • 提供者:CQJ
  1. music

    0下载:
  2. 基于FPGA的乐曲发生器设计,以 EDA 技术为核心的能在可编程 ASIC 上进行系统芯片集成的新设计方法-FPGA-based music generator designed to EDA technology as the core of the ASIC can be carried out in a programmable system-on-chip integration of the new design method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:221305
    • 提供者:rabbit
  1. FPGA

    0下载:
  2. 自行选取四首乐曲的一部分,采用VHDL语言层次化和模块化的设计方法, 实现多首乐曲演奏电路的设计 -4 to select the part of music, the use of VHDL language and modular hierarchical design methodology, multi-song concert circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:166595
    • 提供者:赵新歌
  1. Music

    0下载:
  2. 应用VHDL编写的基于FPGA的音乐播放程序-Applications written in VHDL FPGA-based music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:371922
    • 提供者:紫云
  1. music

    0下载:
  2. verilog HDL编写的文件,实现音乐播放,FPGA为EP2C8Q208C8N,编译通过,详细内容参考代码。-verilog HDL documents prepared, the music player, FPGA to EP2C8Q208C8N, compile, details reference code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2980
    • 提供者:维斯
  1. music

    0下载:
  2. 用FPGA实现的歌曲“梁祝”播放程序,用Verilog HDL编写-FPGA implementation with the song " Butterfly Lovers" player, written with Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:312796
    • 提供者:谭德
  1. music

    0下载:
  2. FPGA 控制 弹奏音乐的小程序 还是蛮有意思的 新手可以学习-FPGA control applet playing music or the novice can learn very interesting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:435241
    • 提供者:cood
  1. MUSIC

    0下载:
  2. 基于FPGA的音乐实验,实现音乐的播放,非常美妙-FPGA-based experimental music and realize the music player, very nice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1022628
    • 提供者:马加爵
  1. ac_link

    0下载:
  2. 硬件电子琴 语音通信 fpga 三个模块 speaker tone note music-Fpga hardware keyboard voice communications speaker tone note music of three modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:333421
    • 提供者:your name
  1. calculator_final

    2下载:
  2. 清华大学电子课程设计:Verilog,QuartusII可正确运行,可下载到FPGA上,音乐计算器,完成两个三位数的运算,有注释,很强大-Verilog, QuartusII run correctly, can be downloaded to the FPGA, music, calculator, completed two three-digit operations, there are notes, very powerful! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2127653
    • 提供者:薛芬
  1. MUSIC-FPGA

    0下载:
  2. altera fpga quartus simulation environment MUSIC algorithm example package with all necessary files including all past year research papers concluded for literature review-altera fpga quartus simulation environment MUSIC algorithm example package wit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3510468
    • 提供者:sunzeyu
  1. 基于FPGA的乐曲硬件演奏系统设计与实现_夏冰

    0下载:
  2. 通过分频实现FPGA的乐曲播放,制作简易播放器(Implementation of FPGA music player)
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:2928640
    • 提供者:schumer
  1. music

    0下载:
  2. 乐曲播放程序,便于初学者掌握verilog HDL语言的进行数控分频器的设计和使用(The music player program is easy for beginners to master the design and use of Verilog HDL language.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:796672
    • 提供者:victorfan2017
  1. FPGA乐曲演奏电路

    2下载:
  2. 乐曲硬件演奏电路设计,采用verilog-VHDL语言编写,使用quartus2开发平台编译仿真(design of music hardware performance circuit(verilogVHDL))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-09-17
    • 文件大小:970752
    • 提供者:Dexter_
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com